2012年1月14日土曜日

軽量で扱いやすいVHDL環境ーGHDL

最近、Macに乗り換えたのですが、課題でいつもVHDL環境として使用していたModelSimは、 Macにだけ対応していないという嫌がらせのような仕様だったので (まあ、もともとModelSimはごちゃごちゃしていてあまり好きではなかったのですが) この際、別のソフトを探して見ることに。すると、GHDLというVHDLコンパイラと、 波形シュミレータのGTKWaveを使用する方法をみつけました。

導入方法はとても簡単で、Linuxなら、

sudo apt-get install gtkwave ghdl
で、一発で導入できます。Macなら、
sudo port install gtkwave
で、gtkwaveを導入したあと、本家サイトのこちらから ダウンロードできます。(ただし、gtkwaveはウィンドウのシステムにgnomeを使っているので、びっくりするぐらい時間がかかります。)

使い方は、VHDLソースコードのコンパイルは、

ghdl -a hoge.vhd test_hoge.vhd
そして、シュミレーションファイルの生成は
ghdl -r test_hoge --vcd=hoge.vcd
シュミレーションの生成時にはtest_hogeのみで.vhdはいらないので注意してください。 この場合、hoge.vcdがシュミレーション結果として出力されるので、
gtkwave hoge.vcd
で波形を確認できます。ただし、最初っから表示されてるわけではないので、 右端のボックスから表示する信号を選んで"Append"をクリックし、 さらにこのままだと、倍率が高すぎるので、右上の虫眼鏡のマークをクリックして大きさを調整します。

なんか、その気になれば、eclipseとかで、この開発環境を構築したりもできるようです・・・  ModelSimの開発環境が気に食わんという方はぜひお試しください。

0 件のコメント:

コメントを投稿